1   2   3   4   5   6   7   8   9   10  
Efficient Resource-Aware Neural Architecture Search with a Neuro-Symbolic Approach
Bellodi, Elena; Bertozzi, Davide; Bizzarri, Alice; Favalli, Michele; Fraccaroli, Michele; Zese, Riccardo     details >>

Atto di Convegno (Proceedings)
IEEE Computer society, 2023 IEEE 16th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC)
pp: 171-178, Anno: 2023

Reconfigurable Optical Wireless Switches for on-chip Interconnection
Calo, G.; Gabriele, L.; Bellanca, G.; Nanni, J.; Barbiroli, M.; Fuschini, F.; Tralli, V.; Bertozzi, D.; Serafino, G.; Petruzzelli, V.     details >>

Contributo in rivista (Pubblicazione in Rivista)
IEEE JOURNAL OF QUANTUM ELECTRONICS
Vol. 59, No. 3, pp: 0601010-1-0601010-10, Anno: 2023

4 × 4 Integrated Switches Based on On-Chip Wireless Connection through Optical Phased Arrays
Calo, G.; Bellanca, G.; Fuschini, F.; Barbiroli, M.; Bertozzi, D.; Tralli, V.; Petruzzelli, V.     details >>

Contributo in rivista (Pubblicazione in Rivista)
PHOTONICS
Vol. 10, No. 4, pp: 367-384, Anno: 2023

Integrated Optical Phased Arrays for on-Chip Communication
Khalid, M.; Calò, G.; Bellanca, G.; Nanni, J.; Barbiroli, M.; Fuschini, F.; Tralli, V.; Bertozzi, D.; Petruzzelli, V.     details >>

Atto di Convegno (Proceedings)
IEEE, 2023 23rd International Conference on Transparent Optical Networks (ICTON)
pp: 1-4, Anno: 2023

Technology-Aware Drift Resilience Analysis of RRAM Crossbar Array Configurations
Reiser, D.; Reichenbach, M.; Rizzi, T.; Baroni, A.; Fritscher, M.; Wenger, C.; Zambelli, C.; Bertozzi, D.     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., 21st IEEE Interregional NEWCAS Conference, NEWCAS 2023 - Proceedings
pp: 1-5, Anno: 2023

Process-Voltage-Temperature Variations Assessment in Energy-Aware Resistive RAM-Based FPGAs
Rizzi, T.; Baroni, A.; Glukhov, A.; Bertozzi, D.; Wenger, C.; Ielmini, D.; Zambelli, C.     details >>

Contributo in rivista (Pubblicazione in Rivista)
IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY
Vol. 23, No. 3, pp: 328-336, Anno: 2023

The challenge of classification confidence estimation in dynamically-adaptive neural networks
Dall'Occo, Francesco; Bueno-Crespo, Andrés; Abellán, José L.; Bertozzi, Davide; Favalli, Michele     details >>

Atto di Convegno (Proceedings)
Springer, Embedded Computer Systems: Architectures, Modeling, and Simulation. 21st International Conference, SAMOS 2021, Virtual Event, July 4–8, 2021, Proceedings
Vol. 13227, No. 1, pp: 505-522, Anno: 2022

Exploring Process-Voltage-Temperature Variations Impact on 4T1R Multiplexers for Energy-aware Resistive RAM-based FPGAs
Rizzi, T.; Baroni, A.; Glukhov, A.; Bertozzi, D.; Wenger, C.; Ielmini, D.; Zambelli, C.     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., IEEE International Integrated Reliability Workshop Final Report
Vol. 2022-, No. 1, pp: 1-5, Anno: 2022

On-chip wireless interconnection through reconfigurable optical phased arrays
Gabriele, L.; Bellanca, G.; Nanni, J.; Barbiroli, M.; Fuschini, F.; Serafino, G.; Bertozzi, D.; Tralli, V.; Petruzzelli, V.; Calo, G.     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., 2022 Italian Conference on Optics and Photonics, ICOP 2022
pp: 1-4, Anno: 2022

An Asynchronous Soft Macro for Ultra-Low Power Communication in Neuromorphic Computing
Bertozzi, D.; Bhardwaj, K.; Nowick, S. M.     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., Proceeding - IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2022
pp: 178-181, Anno: 2022

Exploring Software Models for the Resilience Analysis of Deep Learning Accelerators: the NVDLA Case Study
Veronesi, A.; Dall'Occo, F.; Bertozzi, D.; Favalli, M.; Krstic, M.     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., Proceedings - 2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, DDECS 2022
pp: 142-147, Anno: 2022

Assessing the Configuration Space of the Open Source NVDLA Deep Learning Accelerator on a Mainstream MPSoC Platform
Bertozzi, Davide; Veronesi, Alessandro; Milos, Krstic     details >>

Contributo in volume
Springer International Publishing, VLSI-SoC: Design Trends
Vol. 621, No. 1, pp: 87-112, Anno: 2021

Cost-effective and flexible asynchronous interconnect technology for GALS systems
Bertozzi, D.; Miorandi, G.; Ghiribaldi, A.; Burleson, W.; Sadowski, G.; Bhardwaj, K.; Jiang, W.; Nowick, S. M.     details >>

Contributo in rivista (Pubblicazione in Rivista)
IEEE MICRO
Vol. 41, No. 1, pp: 69-81, Anno: 2021

Design of reconfigurable on-chip wireless interconnections through Optical Phased Arrays
Calo, G.; Bellanca, G.; Barbiroli, M.; Fuschini, F.; Serafino, G.; Bertozzi, D.; Tralli, V.; Petruzzelli, V.     details >>

Contributo in rivista (Pubblicazione in Rivista)
OPTICS EXPRESS
Vol. 29, No. 20, pp: 31212-31228, Anno: 2021

Comparative Analysis and Optimization of the SystemC-AMS Analog Simulation Efficiency of Resistive Crossbar Arrays
Rizzi, T.; Quesada, E. P. -B.; Wenger, C.; Zambelli, C.; Bertozzi, D.     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., 2021 XXXVI Conference on Design of Circuits and Integrated Systems (DCIS)
pp: 183-188, Anno: 2021

Reconfigurable on-chip wireless interconnections through optical phased arrays (Invited)
Calo, G; Barbiroli, M; Bellanca, G; Bertozzi, D; Fuschini, F; Tralli, V; Serafino, G; Petruzzelli, V     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., 2021 ACM/IEEE International Workshop on System-Level Interconnect Pathfinding, SLIP 2021
pp: 33-40, Anno: 2021

PSION+: combining logical topology and physical layout optimization for Wavelength-Routed ONoCs
Truppel, Alexandre; Tseng, Tsun-Ming; Bertozzi, Davide; Carlos Alves, José; Schlichtmann, Ulf     details >>

Contributo in rivista (Pubblicazione in Rivista)
IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS
Vol. 39, No. 12, pp: 5197-5210, Anno: 2020

Cross-Layer Hardware/Software Assessment of the Open-Source NVDLA Configurable Deep Learning Accelerator
Veronesi, A.; Krstic, M.; Bertozzi, D.     details >>

Atto di Convegno (Proceedings)
IEEE Computer Society, IEEE/IFIP International Conference on VLSI and System-on-Chip, VLSI-SoC
Vol. 2020-, No. 1, pp: 58-63, Anno: 2020

An Interconnect-Centric Approach to the Flexible Partitioning and Isolation of Many-Core Accelerators for Fog Computing
Turki, Meriem; Bertozzi, Davide     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., 2019 XXXIV Conference on Design of Circuits and Integrated Systems (DCIS)
pp: 1-6, Anno: 2019

A Low-latency and flexible tdm noc for strong isolation in security-critical systems
Gorgues Alonso, M.; Flich, J.; Turki, Meriem; Bertozzi, Davide     details >>

Atto di Convegno (Proceedings)
Institute of Electrical and Electronics Engineers Inc., 2019 IEEE 13th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC)
pp: 149-156, Anno: 2019

1   2   3   4   5   6   7   8   9   10